Alternative culfw

Begonnen von bjoernh, 15 März 2015, 12:01:06

Vorheriges Thema - Nächstes Thema

RaspiLED

Hi,
ich kenne keine, aber orientiere mich immer hier:
https://github.com/heliflieger/a-culfw/blob/master/CHANGELOG
Und natürlich hier:
https://github.com/heliflieger/a-culfw/blob/master/culfw/docs/commandref.html
Gruß Arnd

Gesendet von meinem SM-G800F mit Tapatalk

Raspberry Pi mit FHEM, CUL, Signalduino, MySensors, HomeBridge, Presence, WifiLight2, Bravia, ...

timtom

Zitat von: RaspiLED am 09 Mai 2017, 09:53:21
Hi,
ich kenne keine, aber orientiere mich immer hier:
https://github.com/heliflieger/a-culfw/blob/master/CHANGELOG
Und natürlich hier:
https://github.com/heliflieger/a-culfw/blob/master/culfw/docs/commandref.html
Gruß Arnd

Gesendet von meinem SM-G800F mit Tapatalk
Hallo Arnd,

danke dafür. Jetzt habe ich gesehen, dass man mittlerweile die SIGNALDuino FW auch auf den Selbstbau-nanoCUL flashen kann. Bisher habe ich nur "Vorteil gegenüber einem CUL" gefunden. Sofern es keine Nachteile gibt, wäre man damit sogar etwas flexibeler als mit der (a-)culfw, oder?

RaspiLED

Hi,
Naja, komt halt drauf an welche Protokolle man braucht.
Ich nutze Somfy (senden und empfangen) und Intertechno (senden und empfangen) mit dem Signalduino auf NanoCUL Hardware. Der NanoCUL mit a-culfw macht Somfy nur senden, dafür aber Hörmann empfangen und (angefangen) senden.
Also habe ich beides. Aber man kann ja hin- und herflashen zum testen ;-)
Gruß Arnd


Raspi2 mit FHEM, CUL, Signalduino, MySensors, HomeBridge, Presence, Bravia, ...
Raspberry Pi mit FHEM, CUL, Signalduino, MySensors, HomeBridge, Presence, WifiLight2, Bravia, ...

timtom

#1473
Zitat von: RaspiLED am 11 Mai 2017, 13:15:02
...
Ich nutze Somfy (senden und empfangen) und Intertechno (senden und empfangen) mit dem Signalduino auf NanoCUL Hardware.
...
Genau das benötige ich auch ;) Jetzt müsste man die Übersicht der unterstützten Geräte aus dem SIGNALduino Wiki noch für die culfw und aculfw erweitern ;)

Hast du je einen CUL und einen SIGNALDuino im Einsatz oder flashst du hin und her? Müsste man beim hin- und herflashen je ein CUL und ein SIGNALDuino Device anlegen? Dann müsste man die Zuordnung der z.B. Funksteckdosen ja immer nach dem aktiven Gateway anpassen? Oder wie macht man das am geschicktesten.

RaspiLED

Ja ich habe alles hier ;-)
Ist halt noch ein Spielsystem - ich werde die CULs demnächst mit MapleCULs ablösen und die NanoCULs als Signalduino nutzen ;-)


Raspi2 mit FHEM, CUL, Signalduino, MySensors, HomeBridge, Presence, Bravia, ...
Raspberry Pi mit FHEM, CUL, Signalduino, MySensors, HomeBridge, Presence, WifiLight2, Bravia, ...

timtom

Zitat von: timtom am 11 Mai 2017, 13:54:48
Genau das benötige ich auch ;) Jetzt müsste man die Übersicht der unterstützten Geräte aus dem SIGNALduino Wiki noch für die culfw und aculfw erweitern ;)

Hast du je einen CUL und einen SIGNALDuino im Einsatz oder flashst du hin und her? Müsste man beim hin- und herflashen je ein CUL und ein SIGNALDuino Device anlegen? Dann müsste man die Zuordnung der z.B. Funksteckdosen ja immer nach dem aktiven Gateway anpassen? Oder wie macht man das am geschicktesten.
Frage hab ich mir selber beantwortet. Einfach in der fhem.cfg das define zu nanoCUL bzw. SIGNALduion ändern. aculfw bzw. SIGNALduino FW wie im Wiki beschrieben flashen. Das war's. Bei mir funktionierte alles sofort.

GatuRatz

War irgendjemand von Euch mit dem "tfa dostmann 30.3156 wd" erfolgreich?
Ich have mit set CUL868 raw Nr2 den CUL in den entprechenden LaCrosse-Modus umgeschaltet und es kommen auch Nachrichten, zB:

2017-05-28_11:41:34 CUL868 UNKNOWNCODE N029067116A5B74D5237BF632BF
2017-05-28_11:41:39 CUL868 UNKNOWNCODE N029067096A020CDC69AA51566E
2017-05-28_11:41:44 CUL868 UNKNOWNCODE N029067096A02D4DD4C00F2BB37

Das Ding scheint auch zu laufen, die Wetterstation zeigt die Temperatur richtig an. Mit einem SDR-Stick kann ich auch zuschauen, wie er (auf der richtigen Frequenz) sendet.
Aber in Fhem wird per autocreate nichts angelegt.

Was mache ich da falsch?

LG
GatuRatz

handy80

Hallo, ich bin etwas ratlos bzgl. meines neuen SCC mit a-culfw (Version 1.21)
Installation auf meinem RPi3 mit
- busware CUL CC1101-USB-Lite 868MHz
- busware Stackable CC1101 V2.0 433MHz
Ich habe ihn nur gekauft um IT Steckdosen (ITR-1500) zu schalten und die Fernbedienung (ITT-1500) in meine FHEM Installation zu integrieren.
Den Switch habe ich definiert mit (define Lichterkette IT 11111000001111000011100011 0 1100)
Ich kann sie aber nur "anlernen" mit dem 868 aber nicht mit dem 433.
Habt ihr eine Ahnung warum nicht bzw. kann mir einer sagen was zur Analyse meines Problems für logs und config-Teile nötig sind.

noice

Hast du unter "Lichterkette" das attr IODevice angegeben? Da sollte dein 433 sender stehen ansonsten nimmt er immer das erste angelegte device

Gesendet von meinem SM-T325 mit Tapatalk

BananaPI, RaspberryPi+AddonBoard,HMLAN,  miniCUL 433,nanoCUL 433,nanoCUL868,FHEMduino 433, Jeelink clone diverse Homematic, FS20, MAX, TFA und IT Komponenten.
10" Tablet mit andFhem, Daitem D14000

handy80

#1479
Hi noise, danke dir, ja attr IODev habe ich ja gerade immer genutzt um umzuschalten zwischen dem 868 (CUL1) und dem 433 (SCC)
define SCC CUL /dev/ttyAMA0@38400 1234
attr SCC icon cul_cul
attr SCC rfmode SlowRF
attr SCC room Technik
define c_dellog cmdalias dellog AS {qx(truncate $currlogfile --size 0);;Log 1, "Logfile gelöscht";;}
attr c_dellog room Technik
define IT_V3_5f9e8001 IT 10111111001111010000000000 0 0001
attr IT_V3_5f9e8001 IODev SCC
attr IT_V3_5f9e8001 room IT
define FileLog_IT_V3_5f9e8001 FileLog ./log/IT_V3_5f9e8001-%Y.log IT_V3_5f9e8001
attr FileLog_IT_V3_5f9e8001 logtype text
attr FileLog_IT_V3_5f9e8001 room IT
define Lichterkette IT 11111000001111000011100011 0 1100
attr Lichterkette IODev SCC
attr Lichterkette model itswitch
attr Lichterkette room IT


noice

Stell mal den SCC fest auf 433 ,920 mhz

Gesendet von meinem SM-T325 mit Tapatalk

BananaPI, RaspberryPi+AddonBoard,HMLAN,  miniCUL 433,nanoCUL 433,nanoCUL868,FHEMduino 433, Jeelink clone diverse Homematic, FS20, MAX, TFA und IT Komponenten.
10" Tablet mit andFhem, Daitem D14000

handy80

Hi noice,
SCC ccconf => freq:433.920MHz bWidth:325KHz rAmpl:42dB sens:4dB
hat nichts gebracht. Steckdosen reagieren wieder nicht. Noch eine Idee?
Wie kann ich eigentlich sehen ob ich einen 868 oder 433 SCC bekommen habe?

KölnSolar

was sagt denn das log(möglichst verbose 5) beim senden ? Und ein list des SCC.
Grüße Markus
RPi3/2 buster/stretch-SamsungAV_E/N-RFXTRX-IT-RSL-NC5462-Oregon-CUL433-GT-TMBBQ-01e-CUL868-FS20-EMGZ-1W(GPIO)-DS18B20-CO2-USBRS232-USBRS422-Betty_Boop-EchoDot-OBIS(Easymeter-Q3/EMH-KW8)-PCA301(S'duino)-Deebot(mqtt2)-zigbee2mqtt

handy80

Hi,
log nach dellog und neustart:
2017.06.05 16:18:08 1: Logfile gelöscht
2017.06.05 16:18:08 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54084 GET /fhem?room=all&fw_id=258; BUFLEN:0
2017.06.05 16:18:09 4: WEB: /fhem?room=all&fw_id=258 / RL:47084 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54084 GET /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:10 5: Cmd: >get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:10 4: FileLog_AURIOL_255 get: Input file ./log/AURIOL_255-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_AURIOL_255 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:10 4: FileLog_AURIOL_255 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_255-2017.log" }<
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_255 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:18:10 5: Cmd: >get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:18:10 4: FileLog_wzWandThermostat get: Input file ./log/wzWandThermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_wzWandThermostat get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "wzWandThermostat-2017.log" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos= / RL:2017 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54082 GET /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:18:10 5: Cmd: >get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:18:10 4: FileLog_Bewegungsmelder_hinten_1 get: Input file ./log/Bewegungsmelder_hinten_1-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_Bewegungsmelder_hinten_1 get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "Bewegungsmelder_hinten_1-2017.log" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos= / RL:2023 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::
2017.06.05 16:18:10 5: Cmd: >get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::<
2017.06.05 16:18:10 4: FileLog_keWandthermostat get: Input file ./log/keWandthermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_keWandthermostat get: line 1, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:18:10 4: FileLog_keWandthermostat get: line 2, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:18:10 5: Cmd: >{ "keWandthermostat-2017.log" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos= / RL:2334 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:10 5: Cmd: >get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:10 4: FileLog_AURIOL_242 get: Input file ./log/AURIOL_242-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_AURIOL_242 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:10 4: FileLog_AURIOL_242 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_242-2017.log" }<
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_242 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:10 5: Cmd: >get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:10 4: FileLog_AURIOL_64 get: Input file ./log/AURIOL_64-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_AURIOL_64 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:10 4: FileLog_AURIOL_64 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_64-2017.log" }<
2017.06.05 16:18:10 5: Cmd: >{ "AURIOL_64 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos= / RL:2205 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54084 GET /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:10 5: Cmd: >get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:10 4: FileLog_GT_WT_02_0 get: Input file ./log/GT_WT_02_0-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_GT_WT_02_0 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:10 4: FileLog_GT_WT_02_0 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "GT_WT_02_0-2017.log" }<
2017.06.05 16:18:10 5: Cmd: >{ "GT_WT_02_0 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos= / RL:2204 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:10 5: plotcommand: get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:10 5: Cmd: >get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:10 4: FileLog_CUL_TX_15 get: Input file ./log/CUL_TX_15-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:10 4: FileLog_CUL_TX_15 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:10 4: FileLog_CUL_TX_15 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:10 5: Cmd: >{ "CUL_TX_15-2017.log" }<
2017.06.05 16:18:10 5: Cmd: >{ "CUL_TX_15 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:10 4: WEB: /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos= / RL:2203 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:10 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54084 GET /fhem?XHR=1&inform=type=status;filter=room=all;since=1496672287;fmt=JSON&fw_id=258×tamp=1496672290690; BUFLEN:0
2017.06.05 16:18:12 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54084: EOF
2017.06.05 16:18:12 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem?room=all; BUFLEN:0
2017.06.05 16:18:13 4: WEB: /fhem?room=all / RL:47083 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:14 5: Cmd: >get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:14 4: FileLog_AURIOL_242 get: Input file ./log/AURIOL_242-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_AURIOL_242 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:14 4: FileLog_AURIOL_242 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_242-2017.log" }<
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_242 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:18:14 5: Cmd: >get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:18:14 4: FileLog_Bewegungsmelder_hinten_1 get: Input file ./log/Bewegungsmelder_hinten_1-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_Bewegungsmelder_hinten_1 get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "Bewegungsmelder_hinten_1-2017.log" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos= / RL:2023 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54082 GET /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:14 5: Cmd: >get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:14 4: FileLog_AURIOL_64 get: Input file ./log/AURIOL_64-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_AURIOL_64 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:14 4: FileLog_AURIOL_64 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_64-2017.log" }<
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_64 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos= / RL:2205 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:14 5: Cmd: >get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:14 4: FileLog_CUL_TX_15 get: Input file ./log/CUL_TX_15-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_CUL_TX_15 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:14 4: FileLog_CUL_TX_15 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "CUL_TX_15-2017.log" }<
2017.06.05 16:18:14 5: Cmd: >{ "CUL_TX_15 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos= / RL:2203 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087 GET /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:14 5: Cmd: >get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:14 4: FileLog_AURIOL_255 get: Input file ./log/AURIOL_255-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_AURIOL_255 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:14 4: FileLog_AURIOL_255 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_255-2017.log" }<
2017.06.05 16:18:14 5: Cmd: >{ "AURIOL_255 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::
2017.06.05 16:18:14 5: Cmd: >get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::<
2017.06.05 16:18:14 4: FileLog_keWandthermostat get: Input file ./log/keWandthermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_keWandthermostat get: line 1, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:18:14 4: FileLog_keWandthermostat get: line 2, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:18:14 5: Cmd: >{ "keWandthermostat-2017.log" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos= / RL:2334 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:18:14 5: Cmd: >get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:18:14 4: FileLog_GT_WT_02_0 get: Input file ./log/GT_WT_02_0-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_GT_WT_02_0 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:18:14 4: FileLog_GT_WT_02_0 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "GT_WT_02_0-2017.log" }<
2017.06.05 16:18:14 5: Cmd: >{ "GT_WT_02_0 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos= / RL:2204 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:18:14 5: plotcommand: get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:18:14 5: Cmd: >get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:18:14 4: FileLog_wzWandThermostat get: Input file ./log/wzWandThermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:18:14 4: FileLog_wzWandThermostat get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:18:14 5: Cmd: >{ "wzWandThermostat-2017.log" }<
2017.06.05 16:18:14 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos= / RL:2017 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:18:14 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081 GET /fhem?XHR=1&inform=type=status;filter=room=all;since=1496672291;fmt=JSON&fw_id=259×tamp=1496672294898; BUFLEN:0
2017.06.05 16:18:15 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54081: EOF
2017.06.05 16:18:15 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087 GET /fhem?detail=SCC; BUFLEN:0
2017.06.05 16:18:15 4: WEB: /fhem?detail=SCC / RL:19396 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:15 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087 GET /fhem?cmd=%7BReadingsVal(%22SCC%22%2C%22ITClock%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:18:15 5: Cmd: >{ReadingsVal("SCC","ITClock","")}<
2017.06.05 16:18:15 4: WEB: /fhem?cmd=%7BReadingsVal(%22SCC%22%2C%22ITClock%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:21 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:15 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem?cmd=%7BAttrVal(%22SCC%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:18:15 5: Cmd: >{AttrVal("SCC","room","")}<
2017.06.05 16:18:15 4: WEB: /fhem?cmd=%7BAttrVal(%22SCC%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:28 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:15 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087 GET /fhem?XHR=1&inform=type=status;filter=SCC;since=1496672294;fmt=JSON&fw_id=264×tamp=1496672295977; BUFLEN:0
2017.06.05 16:18:22 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54087: EOF
2017.06.05 16:18:22 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54082 GET /fhem?room=IT; BUFLEN:0
2017.06.05 16:18:22 4: WEB: /fhem?room=IT / RL:15992 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:22 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54082 GET /fhem?XHR=1&inform=type=status;filter=room=IT;since=1496672301;fmt=JSON&fw_id=260×tamp=1496672302894; BUFLEN:0
2017.06.05 16:18:26 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 POST /fhem?cmd.Lichterkette=set%20Lichterkette%20off&room=IT&XHR=1&fwcsrf=csrf_178949523259326&fw_id=260; BUFLEN:0
2017.06.05 16:18:26 5: Cmd: >set Lichterkette off<
2017.06.05 16:18:26 3: SCC IT_set: Lichterkette off
2017.06.05 16:18:26 5: Starting notify loop for Lichterkette, 1 event(s), first is off
2017.06.05 16:18:26 5: createNotifyHash
2017.06.05 16:18:26 5: ABFALL_Notify(Muelltonnen) - Device: Lichterkette
2017.06.05 16:18:26 5: End notify loop for Lichterkette
2017.06.05 16:18:26 5: SCC IT_set: Type=CUL Protocol=V3
2017.06.05 16:18:26 5: SW: is11111000001111000011100011001100
2017.06.05 16:18:26 5: CUL/RAW (ReadAnswer): is111110
2017.06.05 16:18:27 5: CUL/RAW (ReadAnswer): 00001111
2017.06.05 16:18:27 5: CUL/RAW (ReadAnswer): 00001110
2017.06.05 16:18:27 5: CUL/RAW (ReadAnswer): 00110011
2017.06.05 16:18:27 5: CUL/RAW (ReadAnswer): 00

2017.06.05 16:18:27 5: Starting notify loop for SCC, 1 event(s), first is raw: is11111000001111000011100011001100
2017.06.05 16:18:27 5: ABFALL_Notify(Muelltonnen) - Device: SCC
2017.06.05 16:18:27 5: End notify loop for SCC
2017.06.05 16:18:27 5: IT_Set: GetFn(raw): message = is11111000001111000011100011001100 Antwort =   raw => is11111000001111000011100011001100
2017.06.05 16:18:27 4: ITSet: Answer from SCC:   raw => is11111000001111000011100011001100
2017.06.05 16:18:27 4: WEB: /fhem?cmd.Lichterkette=set%20Lichterkette%20off&room=IT&XHR=1&fwcsrf=csrf_178949523259326&fw_id=260 / RL:20 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:27 5: CUL/RAW: /A0F5486103179450000000A24D20E0040D8

2017.06.05 16:18:27 4: CUL_Parse: CUL1 A 0F 54 8610 317945 000000 0A24D20E0040D8 -94
2017.06.05 16:18:27 5: CUL1: dispatch A0F5486103179450000000A24D20E0040::-94:CUL1
2017.06.05 16:18:27 5: Starting notify loop for szHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:18:27 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung
2017.06.05 16:18:27 5: End notify loop for szHeizung
2017.06.05 16:18:27 5: Starting notify loop for szHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:18:27 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung_Clima
2017.06.05 16:18:27 5: End notify loop for szHeizung_Clima
2017.06.05 16:18:27 5: Starting notify loop for szHeizung_Weather, 2 event(s), first is measured-temp: 21.0
2017.06.05 16:18:27 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung_Weather
2017.06.05 16:18:27 5: End notify loop for szHeizung_Weather
2017.06.05 16:18:27 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54082: EOF
2017.06.05 16:18:27 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem?detail=Lichterkette; BUFLEN:0
2017.06.05 16:18:27 4: WEB: /fhem?detail=Lichterkette / RL:16903 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:28 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem?cmd=%7BReadingsVal(%22Lichterkette%22%2C%22blink%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:18:28 5: Cmd: >{ReadingsVal("Lichterkette","blink","")}<
2017.06.05 16:18:28 4: WEB: /fhem?cmd=%7BReadingsVal(%22Lichterkette%22%2C%22blink%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:21 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:28 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem?cmd=%7BAttrVal(%22Lichterkette%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:18:28 5: Cmd: >{AttrVal("Lichterkette","room","")}<
2017.06.05 16:18:28 4: WEB: /fhem?cmd=%7BAttrVal(%22Lichterkette%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:23 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:28 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086 GET /fhem?XHR=1&inform=type=status;filter=Lichterkette;since=1496672306;fmt=JSON&fw_id=263×tamp=1496672308174; BUFLEN:0
2017.06.05 16:18:36 5: CUL/RAW: /A0C73865A3140DC00000088F32820

2017.06.05 16:18:36 4: CUL_Parse: CUL1 A 0C 73 865A 3140DC 000000 88F32820 -58
2017.06.05 16:18:36 5: CUL1: dispatch A0C73865A3140DC00000088F328::-58:CUL1
2017.06.05 16:18:36 5: Starting notify loop for kuWandThermostat_Climate, 4 event(s), first is desired-temp: 17.0
2017.06.05 16:18:36 5: createNotifyHash
2017.06.05 16:18:36 5: ABFALL_Notify(Muelltonnen) - Device: kuWandThermostat_Climate
2017.06.05 16:18:36 5: End notify loop for kuWandThermostat_Climate
2017.06.05 16:18:38 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 POST /fhem?cmd.Lichterkette=set%20Lichterkette%20on&XHR=1&fwcsrf=csrf_178949523259326&fw_id=263; BUFLEN:0
2017.06.05 16:18:38 5: Cmd: >set Lichterkette on<
2017.06.05 16:18:38 3: SCC IT_set: Lichterkette on
2017.06.05 16:18:38 5: Starting notify loop for Lichterkette, 1 event(s), first is on
2017.06.05 16:18:38 5: ABFALL_Notify(Muelltonnen) - Device: Lichterkette
2017.06.05 16:18:38 5: End notify loop for Lichterkette
2017.06.05 16:18:38 5: SCC IT_set: Type=CUL Protocol=V3
2017.06.05 16:18:38 5: SW: is11111000001111000011100011011100
2017.06.05 16:18:38 5: CUL/RAW (ReadAnswer): is111110
2017.06.05 16:18:38 5: CUL/RAW (ReadAnswer): 00001111
2017.06.05 16:18:38 5: CUL/RAW (ReadAnswer): 00001110
2017.06.05 16:18:38 5: CUL/RAW (ReadAnswer): 00110111
2017.06.05 16:18:38 5: CUL/RAW (ReadAnswer): 00

2017.06.05 16:18:38 5: Starting notify loop for SCC, 1 event(s), first is raw: is11111000001111000011100011011100
2017.06.05 16:18:38 5: ABFALL_Notify(Muelltonnen) - Device: SCC
2017.06.05 16:18:38 5: End notify loop for SCC
2017.06.05 16:18:38 5: IT_Set: GetFn(raw): message = is11111000001111000011100011011100 Antwort =   raw => is11111000001111000011100011011100
2017.06.05 16:18:38 4: ITSet: Answer from SCC:   raw => is11111000001111000011100011011100
2017.06.05 16:18:38 4: WEB: /fhem?cmd.Lichterkette=set%20Lichterkette%20on&XHR=1&fwcsrf=csrf_178949523259326&fw_id=263 / RL:20 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:18:45 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54086: EOF
2017.06.05 16:18:45 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/FileLog_logWrapper?dev=Logfile&type=text&file=fhem-2017-06.log; BUFLEN:0
2017.06.05 16:18:45 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083 GET /fhem/FileLog_logWrapper?XHR=1&inform=type=status;filter=;since=1496672324;fmt=JSON&fw_id=261×tamp=1496672325836; BUFLEN:0
2017.06.05 16:18:46 5: CUL/RAW: /A0F5B8610313E760000000A24D60E004048

2017.06.05 16:18:46 4: CUL_Parse: CUL1 A 0F 5B 8610 313E76 000000 0A24D60E004048 -38
2017.06.05 16:18:46 5: CUL1: dispatch A0F5B8610313E760000000A24D60E0040::-38:CUL1
2017.06.05 16:18:46 5: Starting notify loop for bzHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:18:46 5: createNotifyHash
2017.06.05 16:18:46 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung
2017.06.05 16:18:46 5: End notify loop for bzHeizung
2017.06.05 16:18:46 5: Starting notify loop for bzHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:18:46 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung_Clima
2017.06.05 16:18:46 5: End notify loop for bzHeizung_Clima
2017.06.05 16:18:46 5: Starting notify loop for bzHeizung_Weather, 2 event(s), first is measured-temp: 21.4
2017.06.05 16:18:46 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung_Weather
2017.06.05 16:18:46 5: End notify loop for bzHeizung_Weather
2017.06.05 16:18:55 5: CUL/RAW: /A0D84A61041066A43A1B90601C80003

2017.06.05 16:18:55 4: CUL_Parse: CUL1 A 0D 84 A610 41066A 43A1B9 0601C80003 -72.5
2017.06.05 16:18:55 5: CUL1: dispatch A0D84A61041066A43A1B90601C800::-72.5:CUL1
2017.06.05 16:18:56 5: CUL/RAW: /A1184A00243A1B941066A04CAB2F26D568502F4

2017.06.05 16:18:56 4: CUL_Parse: CUL1 A 11 84 A002 43A1B9 41066A 04CAB2F26D568502F4 -80
2017.06.05 16:18:56 5: CUL1: dispatch A1184A00243A1B941066A04CAB2F26D568502::-80:CUL1
2017.06.05 16:18:56 5: CUL/RAW: /A1984A60341066A43A1B9E6A5DDAA2DCCA165A8B4A38AAA92E2F603

2017.06.05 16:18:56 4: CUL_Parse: CUL1 A 19 84 A603 41066A 43A1B9 E6A5DDAA2DCCA165A8B4A38AAA92E2F603 -72.5
2017.06.05 16:18:56 5: CUL1: dispatch A1984A60341066A43A1B9E6A5DDAA2DCCA165A8B4A38AAA92E2F6::-72.5:CUL1
2017.06.05 16:18:56 5: CUL/RAW: /A0E84800243A1B941066A0066AD396BF5

2017.06.05 16:18:56 4: CUL_Parse: CUL1 A 0E 84 8002 43A1B9 41066A 0066AD396BF5 -79.5
2017.06.05 16:18:56 5: CUL1: dispatch A0E84800243A1B941066A0066AD396B::-79.5:CUL1
2017.06.05 16:18:56 5: CUL/RAW: /A0D18A61046FE8910DC7D0601A10020

2017.06.05 16:18:56 4: CUL_Parse: CUL1 A 0D 18 A610 46FE89 10DC7D 0601A10020 -58
2017.06.05 16:18:56 5: CUL1: dispatch A0D18A61046FE8910DC7D0601A100::-58:CUL1
2017.06.05 16:18:56 5: Starting notify loop for Bewegungsmelder_hinten_2, 3 event(s), first is battery: ok
2017.06.05 16:18:56 5: ABFALL_Notify(Muelltonnen) - Device: Bewegungsmelder_hinten_2
2017.06.05 16:18:56 5: End notify loop for Bewegungsmelder_hinten_2
2017.06.05 16:18:56 5: CUL/RAW: /A0C7384703140DC00000000F32822

2017.06.05 16:18:56 4: CUL_Parse: CUL1 A 0C 73 8470 3140DC 000000 00F32822 -57
2017.06.05 16:18:56 5: CUL1: dispatch A0C7384703140DC00000000F328::-57:CUL1
2017.06.05 16:18:56 5: Starting notify loop for kuWandThermostat_Weather, 3 event(s), first is humidity: 40
2017.06.05 16:18:56 5: ABFALL_Notify(Muelltonnen) - Device: kuWandThermostat_Weather
2017.06.05 16:18:56 5: End notify loop for kuWandThermostat_Weather
2017.06.05 16:18:57 5: CUL/RAW: /A0D18A61046FE8910DC7D0601A10021

2017.06.05 16:18:57 4: CUL_Parse: CUL1 A 0D 18 A610 46FE89 10DC7D 0601A10021 -57.5
2017.06.05 16:18:57 5: CUL1: dispatch A0D18A61046FE8910DC7D0601A100::-57.5:CUL1
2017.06.05 16:18:57 4: CUL_HM Bewegungsmelder_hinten_2 dupe: dont process
2017.06.05 16:18:57 5: CUL/RAW: /A0D18A61046FE8910DC7D0601A10022

2017.06.05 16:18:57 4: CUL_Parse: CUL1 A 0D 18 A610 46FE89 10DC7D 0601A10022 -57
2017.06.05 16:18:57 5: CUL1: dispatch A0D18A61046FE8910DC7D0601A100::-57:CUL1
2017.06.05 16:18:57 4: CUL_HM Bewegungsmelder_hinten_2 dupe: dont process
2017.06.05 16:19:02 5: CUL/RAW: /A0F24861031571B0000000A24C10F004018

2017.06.05 16:19:02 4: CUL_Parse: CUL1 A 0F 24 8610 31571B 000000 0A24C10F004018 -62
2017.06.05 16:19:02 5: CUL1: dispatch A0F24861031571B0000000A24C10F0040::-62:CUL1
2017.06.05 16:19:02 5: Starting notify loop for keHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:19:02 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung
2017.06.05 16:19:02 5: End notify loop for keHeizung
2017.06.05 16:19:02 5: Starting notify loop for keHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:19:02 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung_Clima
2017.06.05 16:19:02 5: End notify loop for keHeizung_Clima
2017.06.05 16:19:02 5: Starting notify loop for keHeizung_Weather, 2 event(s), first is measured-temp: 19.3
2017.06.05 16:19:02 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung_Weather
2017.06.05 16:19:02 5: End notify loop for keHeizung_Weather
2017.06.05 16:19:13 5: CUL/RAW: /A0CFA865A3879C500000084C24507

2017.06.05 16:19:13 4: CUL_Parse: CUL1 A 0C FA 865A 3879C5 000000 84C24507 -70.5
2017.06.05 16:19:13 5: CUL1: dispatch A0CFA865A3879C500000084C245::-70.5:CUL1
2017.06.05 16:19:13 5: Starting notify loop for keWandthermostat_Climate, 4 event(s), first is desired-temp: 16.5
2017.06.05 16:19:13 5: ABFALL_Notify(Muelltonnen) - Device: keWandthermostat_Climate
2017.06.05 16:19:13 5: End notify loop for keWandthermostat_Climate
2017.06.05 16:19:32 5: CUL/RAW: /A0C89865A38014A00000088E92716

2017.06.05 16:19:32 4: CUL_Parse: CUL1 A 0C 89 865A 38014A 000000 88E92716 -63
2017.06.05 16:19:32 5: CUL1: dispatch A0C89865A38014A00000088E927::-63:CUL1
2017.06.05 16:19:32 5: Starting notify loop for duWandThermostat_Climate, 4 event(s), first is desired-temp: 17.0
2017.06.05 16:19:32 5: ABFALL_Notify(Muelltonnen) - Device: duWandThermostat_Climate
2017.06.05 16:19:32 5: End notify loop for duWandThermostat_Climate
2017.06.05 16:19:33 5: CUL/RAW: /A0CFA84703879C500000000C24506

2017.06.05 16:19:33 4: CUL_Parse: CUL1 A 0C FA 8470 3879C5 000000 00C24506 -71
2017.06.05 16:19:33 5: CUL1: dispatch A0CFA84703879C500000000C245::-71:CUL1
2017.06.05 16:19:33 5: Starting notify loop for keWandthermostat_Weather, 3 event(s), first is humidity: 69
2017.06.05 16:19:33 5: ABFALL_Notify(Muelltonnen) - Device: keWandthermostat_Weather
2017.06.05 16:19:33 5: End notify loop for keWandthermostat_Weather
2017.06.05 16:19:52 5: CUL/RAW: /A0C89847038014A00000000E92716

2017.06.05 16:19:52 4: CUL_Parse: CUL1 A 0C 89 8470 38014A 000000 00E92716 -63
2017.06.05 16:19:52 5: CUL1: dispatch A0C89847038014A00000000E927::-63:CUL1
2017.06.05 16:19:52 5: Starting notify loop for duWandThermostat_Weather, 3 event(s), first is humidity: 39
2017.06.05 16:19:52 5: ABFALL_Notify(Muelltonnen) - Device: duWandThermostat_Weather
2017.06.05 16:19:52 5: End notify loop for duWandThermostat_Weather
2017.06.05 16:20:27 5: CUL/RAW: /A0D8F84103C6D1DB3BEA5060156004D

2017.06.05 16:20:27 4: CUL_Parse: CUL1 A 0D 8F 8410 3C6D1D B3BEA5 060156004D -35.5
2017.06.05 16:20:27 5: CUL1: dispatch A0D8F84103C6D1DB3BEA506015600::-35.5:CUL1
2017.06.05 16:20:27 5: Starting notify loop for wzBewegungsmelder, 3 event(s), first is battery: ok
2017.06.05 16:20:27 5: ABFALL_Notify(Muelltonnen) - Device: wzBewegungsmelder
2017.06.05 16:20:27 5: End notify loop for wzBewegungsmelder
2017.06.05 16:20:28 5: CUL/RAW: /A0C90865A36822A00000088EA2A2E

2017.06.05 16:20:28 4: CUL_Parse: CUL1 A 0C 90 865A 36822A 000000 88EA2A2E -51
2017.06.05 16:20:28 5: CUL1: dispatch A0C90865A36822A00000088EA2A::-51:CUL1
2017.06.05 16:20:28 5: Starting notify loop for wzWandThermostat_Climate, 4 event(s), first is desired-temp: 17.0
2017.06.05 16:20:28 5: ABFALL_Notify(Muelltonnen) - Device: wzWandThermostat_Climate
2017.06.05 16:20:28 5: End notify loop for wzWandThermostat_Climate
2017.06.05 16:20:38 5: CUL/RAW: /A0E03841036822A0000000B88EA0D002C

2017.06.05 16:20:38 4: CUL_Parse: CUL1 A 0E 03 8410 36822A 000000 0B88EA0D002C -52
2017.06.05 16:20:38 5: CUL1: dispatch A0E03841036822A0000000B88EA0D00::-52:CUL1
2017.06.05 16:20:38 5: Starting notify loop for wzWandThermostat, 4 event(s), first is battery: ok
2017.06.05 16:20:38 5: ABFALL_Notify(Muelltonnen) - Device: wzWandThermostat
2017.06.05 16:20:38 5: End notify loop for wzWandThermostat
2017.06.05 16:20:38 5: Starting notify loop for wzWandThermostat_Climate, 7 event(s), first is boostTime: -
2017.06.05 16:20:38 5: ABFALL_Notify(Muelltonnen) - Device: wzWandThermostat_Climate
2017.06.05 16:20:38 5: End notify loop for wzWandThermostat_Climate
2017.06.05 16:20:48 5: CUL/RAW: /A0C90847036822A00000000EA2A2C

2017.06.05 16:20:48 4: CUL_Parse: CUL1 A 0C 90 8470 36822A 000000 00EA2A2C -52
2017.06.05 16:20:48 5: CUL1: dispatch A0C90847036822A00000000EA2A::-52:CUL1
2017.06.05 16:20:48 5: Starting notify loop for wzWandThermostat_Weather, 3 event(s), first is humidity: 42
2017.06.05 16:20:48 5: ABFALL_Notify(Muelltonnen) - Device: wzWandThermostat_Weather
2017.06.05 16:20:48 5: End notify loop for wzWandThermostat_Weather
2017.06.05 16:20:49 5: CUL/RAW: /A0F5C8610313E760000000A24D60E004047

2017.06.05 16:20:49 4: CUL_Parse: CUL1 A 0F 5C 8610 313E76 000000 0A24D60E004047 -38.5
2017.06.05 16:20:49 5: CUL1: dispatch A0F5C8610313E760000000A24D60E0040::-38.5:CUL1
2017.06.05 16:20:49 5: Starting notify loop for bzHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:20:49 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung
2017.06.05 16:20:49 5: End notify loop for bzHeizung
2017.06.05 16:20:49 5: Starting notify loop for bzHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:20:49 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung_Clima
2017.06.05 16:20:49 5: End notify loop for bzHeizung_Clima
2017.06.05 16:20:49 5: Starting notify loop for bzHeizung_Weather, 2 event(s), first is measured-temp: 21.4
2017.06.05 16:20:49 5: ABFALL_Notify(Muelltonnen) - Device: bzHeizung_Weather
2017.06.05 16:20:49 5: End notify loop for bzHeizung_Weather
2017.06.05 16:21:13 5: CUL/RAW: /A0F5586103179450000000A24D20E00401C

2017.06.05 16:21:13 4: CUL_Parse: CUL1 A 0F 55 8610 317945 000000 0A24D20E00401C -60
2017.06.05 16:21:13 5: CUL1: dispatch A0F5586103179450000000A24D20E0040::-60:CUL1
2017.06.05 16:21:13 5: Starting notify loop for szHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:21:13 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung
2017.06.05 16:21:13 5: End notify loop for szHeizung
2017.06.05 16:21:13 5: Starting notify loop for szHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:21:13 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung_Clima
2017.06.05 16:21:13 5: End notify loop for szHeizung_Clima
2017.06.05 16:21:13 5: Starting notify loop for szHeizung_Weather, 2 event(s), first is measured-temp: 21.0
2017.06.05 16:21:13 5: ABFALL_Notify(Muelltonnen) - Device: szHeizung_Weather
2017.06.05 16:21:13 5: End notify loop for szHeizung_Weather
2017.06.05 16:21:15 5: CUL/RAW: /A0F25861031571B0000000A24C10F004019

2017.06.05 16:21:15 4: CUL_Parse: CUL1 A 0F 25 8610 31571B 000000 0A24C10F004019 -61.5
2017.06.05 16:21:15 5: CUL1: dispatch A0F25861031571B0000000A24C10F0040::-61.5:CUL1
2017.06.05 16:21:15 5: Starting notify loop for keHeizung, 6 event(s), first is actuator: 0
2017.06.05 16:21:15 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung
2017.06.05 16:21:15 5: End notify loop for keHeizung
2017.06.05 16:21:15 5: Starting notify loop for keHeizung_Clima, 9 event(s), first is ValvePosition: 0
2017.06.05 16:21:15 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung_Clima
2017.06.05 16:21:15 5: End notify loop for keHeizung_Clima
2017.06.05 16:21:15 5: Starting notify loop for keHeizung_Weather, 2 event(s), first is measured-temp: 19.3
2017.06.05 16:21:15 5: ABFALL_Notify(Muelltonnen) - Device: keHeizung_Weather
2017.06.05 16:21:15 5: End notify loop for keHeizung_Weather
2017.06.05 16:21:23 5: CUL/RAW: /A0C74865A3140DC00000088F32920

2017.06.05 16:21:23 4: CUL_Parse: CUL1 A 0C 74 865A 3140DC 000000 88F32920 -58
2017.06.05 16:21:23 5: CUL1: dispatch A0C74865A3140DC00000088F329::-58:CUL1
2017.06.05 16:21:23 5: Starting notify loop for kuWandThermostat_Climate, 4 event(s), first is desired-temp: 17.0
2017.06.05 16:21:23 5: ABFALL_Notify(Muelltonnen) - Device: kuWandThermostat_Climate
2017.06.05 16:21:23 5: End notify loop for kuWandThermostat_Climate
2017.06.05 16:21:43 5: CUL/RAW: /A0C7484703140DC00000000F3291F

2017.06.05 16:21:43 4: CUL_Parse: CUL1 A 0C 74 8470 3140DC 000000 00F3291F -58.5
2017.06.05 16:21:43 5: CUL1: dispatch A0C7484703140DC00000000F329::-58.5:CUL1
2017.06.05 16:21:43 5: Starting notify loop for kuWandThermostat_Weather, 3 event(s), first is humidity: 41
2017.06.05 16:21:43 5: ABFALL_Notify(Muelltonnen) - Device: kuWandThermostat_Weather
2017.06.05 16:21:43 5: End notify loop for kuWandThermostat_Weather
2017.06.05 16:21:46 5: CUL/RAW: /A0C8A865A38014A00000088E92716

2017.06.05 16:21:46 4: CUL_Parse: CUL1 A 0C 8A 865A 38014A 000000 88E92716 -63
2017.06.05 16:21:46 5: CUL1: dispatch A0C8A865A38014A00000088E927::-63:CUL1
2017.06.05 16:21:46 5: Starting notify loop for duWandThermostat_Climate, 4 event(s), first is desired-temp: 17.0
2017.06.05 16:21:46 5: ABFALL_Notify(Muelltonnen) - Device: duWandThermostat_Climate
2017.06.05 16:21:46 5: End notify loop for duWandThermostat_Climate
2017.06.05 16:21:47 5: CUL/RAW: /A0CFB865A3879C500000084C24507

2017.06.05 16:21:47 4: CUL_Parse: CUL1 A 0C FB 865A 3879C5 000000 84C24507 -70.5
2017.06.05 16:21:47 5: CUL1: dispatch A0CFB865A3879C500000084C245::-70.5:CUL1
2017.06.05 16:21:47 5: Starting notify loop for keWandthermostat_Climate, 4 event(s), first is desired-temp: 16.5
2017.06.05 16:21:47 5: ABFALL_Notify(Muelltonnen) - Device: keWandthermostat_Climate
2017.06.05 16:21:47 5: End notify loop for keWandthermostat_Climate
2017.06.05 16:21:58 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54083: EOF
2017.06.05 16:21:58 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091
2017.06.05 16:21:58 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091 GET /fhem?room=all; BUFLEN:0
2017.06.05 16:21:59 4: WEB: /fhem?room=all / RL:47091 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091 GET /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:22:00 5: Cmd: >get FileLog_AURIOL_242 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:22:00 4: FileLog_AURIOL_242 get: Input file ./log/AURIOL_242-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_AURIOL_242 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:22:00 4: FileLog_AURIOL_242 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_242-2017.log" }<
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_242 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_242&logdev=FileLog_AURIOL_242&gplotfile=SVG_AURIOL_242&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092 GET /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:22:00 5: Cmd: >get FileLog_AURIOL_255 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:22:00 4: FileLog_AURIOL_255 get: Input file ./log/AURIOL_255-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_AURIOL_255 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:22:00 4: FileLog_AURIOL_255 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_255-2017.log" }<
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_255 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_255&logdev=FileLog_AURIOL_255&gplotfile=SVG_AURIOL_255&logfile=CURRENT&pos= / RL:2206 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091 GET /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::
2017.06.05 16:22:00 5: Cmd: >get FileLog_keWandthermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:keWandthermostat.measured-temp\x3a:: 4:keWandthermostat.measured-temp\x3a::<
2017.06.05 16:22:00 4: FileLog_keWandthermostat get: Input file ./log/keWandthermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_keWandthermostat get: line 1, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:22:00 4: FileLog_keWandthermostat get: line 2, regexp:keWandthermostat.measured-temp\x3a, col:3, output lines:6
2017.06.05 16:22:00 5: Cmd: >{ "keWandthermostat-2017.log" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_keWandthermostat_1&logdev=FileLog_keWandthermostat&gplotfile=SVG_FileLog_keWandthermostat_1&logfile=CURRENT&pos= / RL:2334 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093 GET /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:22:00 5: Cmd: >get FileLog_AURIOL_64 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:22:00 4: FileLog_AURIOL_64 get: Input file ./log/AURIOL_64-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_AURIOL_64 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:22:00 4: FileLog_AURIOL_64 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_64-2017.log" }<
2017.06.05 16:22:00 5: Cmd: >{ "AURIOL_64 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_AURIOL_64&logdev=FileLog_AURIOL_64&gplotfile=SVG_AURIOL_64&logfile=CURRENT&pos= / RL:2205 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54094
2017.06.05 16:22:00 4: Connection accepted from WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54095
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54094 GET /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:22:00 5: Cmd: >get FileLog_CUL_TX_15 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:22:00 4: FileLog_CUL_TX_15 get: Input file ./log/CUL_TX_15-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_CUL_TX_15 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:22:00 4: FileLog_CUL_TX_15 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "CUL_TX_15-2017.log" }<
2017.06.05 16:22:00 5: Cmd: >{ "CUL_TX_15 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_CUL_TX_15&logdev=FileLog_CUL_TX_15&gplotfile=SVG_CUL_TX_15&logfile=CURRENT&pos= / RL:2203 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092 GET /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:22:00 5: Cmd: >get FileLog_wzWandThermostat CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:22:00 4: FileLog_wzWandThermostat get: Input file ./log/wzWandThermostat-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_wzWandThermostat get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "wzWandThermostat-2017.log" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_wzWandThermostat_1&logdev=FileLog_wzWandThermostat&gplotfile=SVG_FileLog_wzWandThermostat_1&logfile=CURRENT&pos= / RL:2017 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093 GET /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:temperature:10: 4:humidity:50:
2017.06.05 16:22:00 5: Cmd: >get FileLog_GT_WT_02_0 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:temperature:10: 4:humidity:50:<
2017.06.05 16:22:00 4: FileLog_GT_WT_02_0 get: Input file ./log/GT_WT_02_0-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_GT_WT_02_0 get: line 1, regexp:temperature, col:3, output lines:0
2017.06.05 16:22:00 4: FileLog_GT_WT_02_0 get: line 2, regexp:humidity, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "GT_WT_02_0-2017.log" }<
2017.06.05 16:22:00 5: Cmd: >{ "GT_WT_02_0 Min $data{min1}, Max $data{max1}, Last $data{currval1}" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_GT_WT_02_0&logdev=FileLog_GT_WT_02_0&gplotfile=SVG_GT_WT_02_0&logfile=CURRENT&pos= / RL:2204 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:00 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54095 GET /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos=; BUFLEN:0
2017.06.05 16:22:00 5: plotcommand: get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01  4:RegExp::
2017.06.05 16:22:00 5: Cmd: >get FileLog_Bewegungsmelder_hinten_1 CURRENT INT 2017-06-05_00:00:00 2017-06-06_00:00:01 4:RegExp::<
2017.06.05 16:22:00 4: FileLog_Bewegungsmelder_hinten_1 get: Input file ./log/Bewegungsmelder_hinten_1-2017.log, from:2017-06-05_00:00:00  to:2017-06-06_00:00:01
2017.06.05 16:22:00 4: FileLog_Bewegungsmelder_hinten_1 get: line 1, regexp:RegExp, col:3, output lines:0
2017.06.05 16:22:00 5: Cmd: >{ "Bewegungsmelder_hinten_1-2017.log" }<
2017.06.05 16:22:00 4: WEB: /fhem/SVG_showLog?dev=SVG_FileLog_Bewegungsmelder_hinten_1_1&logdev=FileLog_Bewegungsmelder_hinten_1&gplotfile=SVG_FileLog_Bewegungsmelder_hinten_1_1&logfile=CURRENT&pos= / RL:2023 / image/svg+xml / Content-Encoding: gzip
/
2017.06.05 16:22:01 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091 GET /fhem?XHR=1&inform=type=status;filter=room=all;since=1496672517;fmt=JSON&fw_id=265×tamp=1496672521097; BUFLEN:0
2017.06.05 16:22:01 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54091: EOF
2017.06.05 16:22:01 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092 GET /fhem?detail=SCC; BUFLEN:0
2017.06.05 16:22:01 4: WEB: /fhem?detail=SCC / RL:19394 / text/html; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:22:01 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092 GET /fhem?cmd=%7BReadingsVal(%22SCC%22%2C%22ITClock%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:22:01 5: Cmd: >{ReadingsVal("SCC","ITClock","")}<
2017.06.05 16:22:01 4: WEB: /fhem?cmd=%7BReadingsVal(%22SCC%22%2C%22ITClock%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:21 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:22:01 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093 GET /fhem?cmd=%7BAttrVal(%22SCC%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326; BUFLEN:0
2017.06.05 16:22:01 5: Cmd: >{AttrVal("SCC","room","")}<
2017.06.05 16:22:01 4: WEB: /fhem?cmd=%7BAttrVal(%22SCC%22%2C%22room%22%2C%22%22)%7D&XHR=1&fwcsrf=csrf_178949523259326 / RL:28 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:22:02 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092 GET /fhem?XHR=1&inform=type=status;filter=SCC;since=1496672520;fmt=JSON&fw_id=266×tamp=1496672522050; BUFLEN:0
2017.06.05 16:22:03 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093 POST /fhem?detail=SCC&dev.getSCC=SCC&cmd.getSCC=get&arg.getSCC=ccconf&val.getSCC=&XHR=1&addLinks=1&fwcsrf=csrf_178949523259326&fw_id=266; BUFLEN:0
2017.06.05 16:22:03 5: Cmd: >get SCC ccconf<
2017.06.05 16:22:03 5: SW: C0D
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C0D = 10
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  / 16

2017.06.05 16:22:03 5: SW: C0E
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C0E = B0
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  / 176

2017.06.05 16:22:03 5: SW: C0F
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C0F = 71
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  / 113

2017.06.05 16:22:03 5: SW: C10
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C10 = 57
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  / 87

2017.06.05 16:22:03 5: SW: C1B
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C1B = 07
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  /  7

2017.06.05 16:22:03 5: SW: C1D
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer): C1D = 90
2017.06.05 16:22:03 5: CUL/RAW (ReadAnswer):  / 144

2017.06.05 16:22:03 5: Starting notify loop for SCC, 1 event(s), first is ccconf: freq:433.920MHz bWidth:325KHz rAmpl:42dB sens:4dB
2017.06.05 16:22:03 5: createNotifyHash
2017.06.05 16:22:03 5: ABFALL_Notify(Muelltonnen) - Device: SCC
2017.06.05 16:22:03 5: End notify loop for SCC
2017.06.05 16:22:03 4: WEB: /fhem?detail=SCC&dev.getSCC=SCC&cmd.getSCC=get&arg.getSCC=ccconf&val.getSCC=&XHR=1&addLinks=1&fwcsrf=csrf_178949523259326&fw_id=266 / RL:112 / text/plain; charset=UTF-8 / Content-Encoding: gzip
/
2017.06.05 16:22:06 5: CUL/RAW: /A0C8A847038014A00000000E92716

2017.06.05 16:22:06 4: CUL_Parse: CUL1 A 0C 8A 8470 38014A 000000 00E92716 -63
2017.06.05 16:22:06 5: CUL1: dispatch A0C8A847038014A00000000E927::-63:CUL1
2017.06.05 16:22:06 5: Starting notify loop for duWandThermostat_Weather, 3 event(s), first is humidity: 39
2017.06.05 16:22:06 5: ABFALL_Notify(Muelltonnen) - Device: duWandThermostat_Weather
2017.06.05 16:22:06 5: End notify loop for duWandThermostat_Weather
2017.06.05 16:22:07 5: CUL/RAW: /A0CFB84703879C500000000C24506

2017.06.05 16:22:07 4: CUL_Parse: CUL1 A 0C FB 8470 3879C5 000000 00C24506 -71
2017.06.05 16:22:07 5: CUL1: dispatch A0CFB84703879C500000000C245::-71:CUL1
2017.06.05 16:22:07 5: Starting notify loop for keWandthermostat_Weather, 3 event(s), first is humidity: 69
2017.06.05 16:22:07 5: ABFALL_Notify(Muelltonnen) - Device: keWandthermostat_Weather
2017.06.05 16:22:07 5: End notify loop for keWandthermostat_Weather
2017.06.05 16:22:32 4: Connection closed for WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54092: EOF
2017.06.05 16:22:32 4: WEB_2a02:908:eb44:2a0:1011:1d52:eaf9:603_54093 GET /fhem/FileLog_logWrapper?dev=Logfile&type=text&file=fhem-2017-06.log; BUFLEN:0




list vom SCC


Internals:
   CMDS       mBbCFiAZGMYRTVWXef*ltux
   Clients    :FS20:FHT.*:KS300:USF1000:BS:HMS: :CUL_EM:CUL_WS:CUL_FHTTK:CUL_HOERMANN: :ESA2000:CUL_IR:CUL_TX:Revolt:IT:UNIRoll:SOMFY: :STACKABLE_CC:TSSTACKED:STACKABLE:CUL_RFR::CUL_TCM97001:CUL_REDIRECT:
   DEF        /dev/ttyAMA0@38400 1234
   DeviceName /dev/ttyAMA0@38400
   FD         34
   FHTID      1234
   NAME       SCC
   NR         146
   PARTIAL
   RAWMSG     OFF
   RSSI       -100.5
   SCC_MSGCNT 6
   SCC_TIME   2017-06-05 16:12:00
   STATE      Initialized
   TYPE       CUL
   VERSION    V 1.21.00 a-culfw Build: private build (unknown) CSM433 (F-Band: 433MHz)
   initString X21
   Matchlist:
     1:USF1000  ^81..(04|0c)..0101a001a5ceaa00....
     2:BS       ^81..(04|0c)..0101a001a5cf
     3:FS20     ^81..(04|0c)..0101a001
     4:FHT      ^81..(04|09|0d)..(0909a001|83098301|c409c401)..
     5:KS300    ^810d04..4027a001
     6:CUL_WS   ^K.....
     7:CUL_EM   ^E0.................$
     8:HMS      ^810e04....(1|5|9).a001
     9:CUL_FHTTK ^T[A-F0-9]{8}
     A:CUL_RFR  ^[0-9A-F]{4}U.
     B:CUL_HOERMANN ^R..........
     C:ESA2000  ^S................................$
     D:CUL_IR   ^I............
     E:CUL_TX   ^TX[A-F0-9]{10}
     F:Revolt   ^r......................$
     G:IT       ^i......
     H:STACKABLE_CC ^\*
     I:UNIRoll  ^[0-9A-F]{5}(B|D|E)
     J:SOMFY    ^Y[r|t|s]:?[A-F0-9]+
     K:CUL_TCM97001 ^s[A-F0-9]+
     L:CUL_REDIRECT ^o+
     M:TSSTACKED ^\*
     N:STACKABLE ^\*
   Readings:
     2017-06-05 16:22:03   ccconf          freq:433.920MHz bWidth:325KHz rAmpl:42dB sens:4dB
     2017-06-05 16:11:05   cmds             m B b C F i A Z G M Y R T V W X e f * l t u x
     2017-06-05 16:18:38   raw             is11111000001111000011100011011100
     2017-06-05 16:12:00   state           Initialized
Attributes:
   hmId       B3BEA5
   icon       cul_cul
   rfmode     SlowRF
   room       Technik
   verbose    5


KölnSolar

ich sehe da nur Sendeversuche mit dem SCC und Empfang auf dem CUL1. Ist das Empfangene(xyWandThermostat_Climate,xyHeizung...,  bzHeizung..., xyBewegungsmelder....) alles 868 MHz ? Der CUL1 steht auf 868 ?

Taste der FB mal gedrückt ? (sehe nix dazu). Mal das verbose 5 nur auf den SCC und Taste der FB drücken.
RPi3/2 buster/stretch-SamsungAV_E/N-RFXTRX-IT-RSL-NC5462-Oregon-CUL433-GT-TMBBQ-01e-CUL868-FS20-EMGZ-1W(GPIO)-DS18B20-CO2-USBRS232-USBRS422-Betty_Boop-EchoDot-OBIS(Easymeter-Q3/EMH-KW8)-PCA301(S'duino)-Deebot(mqtt2)-zigbee2mqtt